All Downloads are FREE. Search and download functionalities are using the official Maven repository.

alac-plugin_2.12.3.0.0-9.source-code.Scratch.scala Maven / Gradle / Ivy

There is a newer version: 4.0.0
Show newest version

object Scratch extends App {
  println(IntINO(5).toZ)
}

trait IntegralNumberOps[T] extends Any {
  def toZ: BigInt
}

class IntINO(val value: Int) extends AnyVal with IntegralNumberOps[Int] {
  def toZ: BigInt = BigInt(value)
}

object IntINO {
  def apply(value: Int): IntINO = new IntINO(value)
}





© 2015 - 2024 Weber Informatics LLC | Privacy Policy