All Downloads are FREE. Search and download functionalities are using the official Maven repository.

algorithms.cs.02.05.50.tables.lymph_nodeand_nodal_status_eval_blank_ajcc7_xlj.json Maven / Gradle / Ivy

There is a newer version: 11.3.0
Show newest version
{
  "id" : "lymph_nodeand_nodal_status_eval_blank_ajcc7_xlj",
  "algorithm" : "cs",
  "version" : "02.05.50",
  "name" : "Lymph Node and Nodal Status Eval Blank AJCC7",
  "title" : "Lymph Node and Nodal Status Eval Blank AJCC 7 Table",
  "notes" : "**Note**:  When no CS Lymph Nodes Eval code is recorded, for CS Lymph Nodes codes 100-118, 121-128, 152, 153, 155, and 158 ONLY, the N category for AJCC 7 staging is assigned based on the value of Regional Nodes Positive, CS Lymph Nodes,  and CS Site-Specific Factor 3, Clinical Status of Lymph Node Mets, as shown in the following table.",
  "last_modified" : "2015-05-27T16:19:22.389Z",
  "definition" : [ {
    "key" : "nodes_pos",
    "name" : "Regional Nodes Positive",
    "type" : "INPUT"
  }, {
    "key" : "nodes",
    "name" : "CS Lymph Nodes",
    "type" : "INPUT"
  }, {
    "key" : "ssf3",
    "name" : "CS SSF 3",
    "type" : "INPUT"
  }, {
    "key" : "ajcc7_n",
    "name" : "AJCC 7 N",
    "type" : "ENDPOINT"
  } ],
  "rows" : [ [ "00", "010", "000-002,005", "ERROR:" ], [ "00", "100,110,115,118", "000-002,005", "ERROR:" ], [ "00", "121", "000-002,005", "ERROR:" ], [ "00", "122", "000-002,005", "ERROR:" ], [ "00", "123", "000-002,005", "ERROR:" ], [ "00", "124", "000-002,005", "ERROR:" ], [ "00", "125", "000-002,005", "ERROR:" ], [ "00", "128", "000-002,005", "ERROR:" ], [ "00", "152", "000-002,005", "ERROR:" ], [ "00", "153", "000-002,005", "ERROR:" ], [ "00", "155", "000-002,005", "ERROR:" ], [ "00", "158", "000-002,005", "ERROR:" ], [ "01", "010", "000-002,005", "ERROR:" ], [ "01", "100,110,115,118", "000-002,005", "ERROR:" ], [ "01", "121", "000-002,005", "ERROR:" ], [ "01", "122", "000-002,005", "ERROR:" ], [ "01", "123", "000-002,005", "ERROR:" ], [ "01", "124", "000-002,005", "ERROR:" ], [ "01", "125", "000-002,005", "ERROR:" ], [ "01", "128", "000-002,005", "ERROR:" ], [ "01", "152", "000-002,005", "ERROR:" ], [ "01", "153", "000-002,005", "ERROR:" ], [ "01", "155", "000-002,005", "ERROR:" ], [ "01", "158", "000-002,005", "ERROR:" ], [ "02-03", "010", "000-002,005", "ERROR:" ], [ "02-03", "100,110,115,118", "000-002,005", "ERROR:" ], [ "02-03", "121", "000-002,005", "ERROR:" ], [ "02-03", "122", "000-002,005", "ERROR:" ], [ "02-03", "123", "000-002,005", "ERROR:" ], [ "02-03", "124", "000-002,005", "ERROR:" ], [ "02-03", "125", "000-002,005", "ERROR:" ], [ "02-03", "128", "000-002,005", "ERROR:" ], [ "02-03", "152", "000-002,005", "ERROR:" ], [ "02-03", "153", "000-002,005", "ERROR:" ], [ "02-03", "155", "000-002,005", "ERROR:" ], [ "02-03", "158", "000-002,005", "ERROR:" ], [ "04-90", "010", "000-002,005", "ERROR:" ], [ "04-90", "100,110,115,118", "000-002,005", "ERROR:" ], [ "04-90", "121", "000-002,005", "ERROR:" ], [ "04-90", "122", "000-002,005", "ERROR:" ], [ "04-90", "123", "000-002,005", "ERROR:" ], [ "04-90", "124", "000-002,005", "ERROR:" ], [ "04-90", "125", "000-002,005", "ERROR:" ], [ "04-90", "128", "000-002,005", "ERROR:" ], [ "04-90", "152", "000-002,005", "ERROR:" ], [ "04-90", "153", "000-002,005", "ERROR:" ], [ "04-90", "155", "000-002,005", "ERROR:" ], [ "04-90", "158", "000-002,005", "ERROR:" ], [ "95", "010", "000-002,005", "ERROR:" ], [ "95", "100,110,115,118", "000-002,005", "ERROR:" ], [ "95", "121", "000-002,005", "ERROR:" ], [ "95", "122", "000-002,005", "ERROR:" ], [ "95", "123", "000-002,005", "ERROR:" ], [ "95", "124", "000-002,005", "ERROR:" ], [ "95", "125", "000-002,005", "ERROR:" ], [ "95", "128", "000-002,005", "ERROR:" ], [ "95", "152", "000-002,005", "ERROR:" ], [ "95", "153", "000-002,005", "ERROR:" ], [ "95", "155", "000-002,005", "ERROR:" ], [ "95", "158", "000-002,005", "ERROR:" ], [ "97", "010", "000-002,005", "ERROR:" ], [ "97", "100,110,115,118", "000-002,005", "ERROR:" ], [ "97", "121", "000-002,005", "ERROR:" ], [ "97", "122", "000-002,005", "ERROR:" ], [ "97", "123", "000-002,005", "ERROR:" ], [ "97", "124", "000-002,005", "ERROR:" ], [ "97", "125", "000-002,005", "ERROR:" ], [ "97", "128", "000-002,005", "ERROR:" ], [ "97", "152", "000-002,005", "ERROR:" ], [ "97", "153", "000-002,005", "ERROR:" ], [ "97", "155", "000-002,005", "ERROR:" ], [ "97", "158", "000-002,005", "ERROR:" ], [ "98", "010", "000-002,005", "ERROR:" ], [ "98", "100,110,115,118", "000-002,005", "ERROR:" ], [ "98", "121", "000-002,005", "ERROR:" ], [ "98", "122", "000-002,005", "ERROR:" ], [ "98", "123", "000-002,005", "ERROR:" ], [ "98", "124", "000-002,005", "ERROR:" ], [ "98", "125", "000-002,005", "ERROR:" ], [ "98", "128", "000-002,005", "ERROR:" ], [ "98", "152", "000-002,005", "ERROR:" ], [ "98", "153", "000-002,005", "ERROR:" ], [ "98", "155", "000-002,005", "ERROR:" ], [ "98", "158", "000-002,005", "ERROR:" ], [ "99", "010", "000-002,005", "ERROR:" ], [ "99", "100,110,115,118", "000-002,005", "ERROR:" ], [ "99", "121", "000-002,005", "ERROR:" ], [ "99", "122", "000-002,005", "ERROR:" ], [ "99", "123", "000-002,005", "ERROR:" ], [ "99", "124", "000-002,005", "ERROR:" ], [ "99", "125", "000-002,005", "ERROR:" ], [ "99", "128", "000-002,005", "ERROR:" ], [ "99", "152", "000-002,005", "ERROR:" ], [ "99", "153", "000-002,005", "ERROR:" ], [ "99", "155", "000-002,005", "ERROR:" ], [ "99", "158", "000-002,005", "ERROR:" ], [ "00", "010", "010", "VALUE:N1a" ], [ "00", "100,110,115,118", "010", "ERROR:" ], [ "00", "121", "010", "ERROR:" ], [ "00", "122", "010", "ERROR:" ], [ "00", "123", "010", "ERROR:" ], [ "00", "124", "010", "ERROR:" ], [ "00", "125", "010", "ERROR:" ], [ "00", "128", "010", "ERROR:" ], [ "00", "152", "010", "ERROR:" ], [ "00", "153", "010", "ERROR:" ], [ "00", "155", "010", "ERROR:" ], [ "00", "158", "010", "ERROR:" ], [ "01", "010", "010", "VALUE:N1a" ], [ "01", "100,110,115,118", "010", "VALUE:N1a" ], [ "01", "121", "010", "VALUE:N1" ], [ "01", "122", "010", "VALUE:N1a" ], [ "01", "123", "010", "VALUE:N1a" ], [ "01", "124", "010", "VALUE:N1a" ], [ "01", "125", "010", "VALUE:N1a" ], [ "01", "128", "010", "VALUE:N1" ], [ "01", "152", "010", "VALUE:N1a" ], [ "01", "153", "010", "VALUE:N1a" ], [ "01", "155", "010", "VALUE:N1a" ], [ "01", "158", "010", "VALUE:N1a" ], [ "02-03", "010", "010", "VALUE:N2a" ], [ "02-03", "100,110,115,118", "010", "VALUE:N2a" ], [ "02-03", "121", "010", "VALUE:N2" ], [ "02-03", "122", "010", "VALUE:N2a" ], [ "02-03", "123", "010", "VALUE:N2a" ], [ "02-03", "124", "010", "VALUE:N2a" ], [ "02-03", "125", "010", "VALUE:N2a" ], [ "02-03", "128", "010", "VALUE:N2" ], [ "02-03", "152", "010", "VALUE:N2a" ], [ "02-03", "153", "010", "VALUE:N2a" ], [ "02-03", "155", "010", "VALUE:N2a" ], [ "02-03", "158", "010", "VALUE:N2a" ], [ "04-90", "010", "010", "VALUE:N3" ], [ "04-90", "100,110,115,118", "010", "VALUE:N3" ], [ "04-90", "121", "010", "VALUE:N1" ], [ "04-90", "122", "010", "VALUE:N3" ], [ "04-90", "123", "010", "VALUE:N3" ], [ "04-90", "124", "010", "VALUE:N3" ], [ "04-90", "125", "010", "VALUE:N3" ], [ "04-90", "128", "010", "VALUE:N2" ], [ "04-90", "152", "010", "VALUE:N3" ], [ "04-90", "153", "010", "VALUE:N3" ], [ "04-90", "155", "010", "VALUE:N3" ], [ "04-90", "158", "010", "VALUE:N3" ], [ "95", "010", "010", "VALUE:N1a" ], [ "95", "100,110,115,118", "010", "VALUE:N1a" ], [ "95", "121", "010", "VALUE:N1" ], [ "95", "122", "010", "VALUE:N1a" ], [ "95", "123", "010", "VALUE:N1b" ], [ "95", "124", "010", "VALUE:N1NOS" ], [ "95", "125", "010", "VALUE:N1" ], [ "95", "128", "010", "VALUE:N2" ], [ "95", "152", "010", "VALUE:N2a" ], [ "95", "153", "010", "VALUE:N2b" ], [ "95", "155", "010", "VALUE:N2" ], [ "95", "158", "010", "VALUE:N2a" ], [ "97", "010", "010", "VALUE:N1a" ], [ "97", "100,110,115,118", "010", "VALUE:N1a" ], [ "97", "121", "010", "VALUE:N1" ], [ "97", "122", "010", "VALUE:N1a" ], [ "97", "123", "010", "VALUE:N1a" ], [ "97", "124", "010", "VALUE:N1a" ], [ "97", "125", "010", "VALUE:N1a" ], [ "97", "128", "010", "VALUE:N2" ], [ "97", "152", "010", "VALUE:N2a" ], [ "97", "153", "010", "VALUE:N2a" ], [ "97", "155", "010", "VALUE:N2a" ], [ "97", "158", "010", "VALUE:N2a" ], [ "98", "010", "010", "VALUE:N1a" ], [ "98", "100,110,115,118", "010", "ERROR:" ], [ "98", "121", "010", "ERROR:" ], [ "98", "122", "010", "ERROR:" ], [ "98", "123", "010", "ERROR:" ], [ "98", "124", "010", "ERROR:" ], [ "98", "125", "010", "ERROR:" ], [ "98", "128", "010", "ERROR:" ], [ "98", "152", "010", "ERROR:" ], [ "98", "153", "010", "ERROR:" ], [ "98", "155", "010", "ERROR:" ], [ "98", "158", "010", "ERROR:" ], [ "99", "010", "010", "VALUE:N1" ], [ "99", "100,110,115,118", "010", "VALUE:N1" ], [ "99", "121", "010", "VALUE:N1" ], [ "99", "122", "010", "VALUE:N1" ], [ "99", "123", "010", "VALUE:N1" ], [ "99", "124", "010", "VALUE:N1" ], [ "99", "125", "010", "VALUE:N1" ], [ "99", "128", "010", "VALUE:N2" ], [ "99", "152", "010", "VALUE:N2" ], [ "99", "153", "010", "VALUE:N2" ], [ "99", "155", "010", "VALUE:N2" ], [ "99", "158", "010", "VALUE:N2" ], [ "00", "010", "020", "VALUE:N1" ], [ "00", "100,110,115,118", "020", "VALUE:N1" ], [ "00", "121", "020", "VALUE:N1" ], [ "00", "122", "020", "VALUE:N1" ], [ "00", "123", "020", "VALUE:N1" ], [ "00", "124", "020", "VALUE:N1" ], [ "00", "125", "020", "VALUE:N1" ], [ "00", "128", "020", "VALUE:N2" ], [ "00", "152", "020", "VALUE:N2" ], [ "00", "153", "020", "VALUE:N2" ], [ "00", "155", "020", "VALUE:N2" ], [ "00", "158", "020", "VALUE:N2" ], [ "01", "010", "020", "VALUE:N1b" ], [ "01", "100,110,115,118", "020", "VALUE:N1b" ], [ "01", "121", "020", "VALUE:N1" ], [ "01", "122", "020", "VALUE:N1b" ], [ "01", "123", "020", "VALUE:N1b" ], [ "01", "124", "020", "VALUE:N1b" ], [ "01", "125", "020", "VALUE:N1b" ], [ "01", "128", "020", "VALUE:N1" ], [ "01", "152", "020", "VALUE:N1b" ], [ "01", "153", "020", "VALUE:N1b" ], [ "01", "155", "020", "VALUE:N1b" ], [ "01", "158", "020", "VALUE:N1b" ], [ "02-03", "010", "020", "VALUE:N2b" ], [ "02-03", "100,110,115,118", "020", "VALUE:N2b" ], [ "02-03", "121", "020", "VALUE:N2" ], [ "02-03", "122", "020", "VALUE:N2b" ], [ "02-03", "123", "020", "VALUE:N2b" ], [ "02-03", "124", "020", "VALUE:N2b" ], [ "02-03", "125", "020", "VALUE:N2b" ], [ "02-03", "128", "020", "VALUE:N2" ], [ "02-03", "152", "020", "VALUE:N2b" ], [ "02-03", "153", "020", "VALUE:N2b" ], [ "02-03", "155", "020", "VALUE:N2b" ], [ "02-03", "158", "020", "VALUE:N2b" ], [ "04-90", "010", "020", "VALUE:N3" ], [ "04-90", "100,110,115,118", "020", "VALUE:N3" ], [ "04-90", "121", "020", "VALUE:N1" ], [ "04-90", "122", "020", "VALUE:N3" ], [ "04-90", "123", "020", "VALUE:N3" ], [ "04-90", "124", "020", "VALUE:N3" ], [ "04-90", "125", "020", "VALUE:N3" ], [ "04-90", "128", "020", "VALUE:N2" ], [ "04-90", "152", "020", "VALUE:N3" ], [ "04-90", "153", "020", "VALUE:N3" ], [ "04-90", "155", "020", "VALUE:N3" ], [ "04-90", "158", "020", "VALUE:N3" ], [ "95", "010", "020", "VALUE:N1b" ], [ "95", "100,110,115,118", "020", "VALUE:N1b" ], [ "95", "121", "020", "VALUE:N1" ], [ "95", "122", "020", "VALUE:N1b" ], [ "95", "123", "020", "VALUE:N1b" ], [ "95", "124", "020", "VALUE:N1b" ], [ "95", "125", "020", "VALUE:N1" ], [ "95", "128", "020", "VALUE:N2" ], [ "95", "152", "020", "VALUE:N2b" ], [ "95", "153", "020", "VALUE:N2b" ], [ "95", "155", "020", "VALUE:N2" ], [ "95", "158", "020", "VALUE:N2b" ], [ "97", "010", "020", "VALUE:N1b" ], [ "97", "100,110,115,118", "020", "VALUE:N1b" ], [ "97", "121", "020", "VALUE:N1" ], [ "97", "122", "020", "VALUE:N1b" ], [ "97", "123", "020", "VALUE:N1b" ], [ "97", "124", "020", "VALUE:N1b" ], [ "97", "125", "020", "VALUE:N1b" ], [ "97", "128", "020", "VALUE:N2" ], [ "97", "152", "020", "VALUE:N2b" ], [ "97", "153", "020", "VALUE:N2b" ], [ "97", "155", "020", "VALUE:N2b" ], [ "97", "158", "020", "VALUE:N2b" ], [ "98", "010", "020", "VALUE:N1" ], [ "98", "100,110,115,118", "020", "VALUE:N1" ], [ "98", "121", "020", "VALUE:N1" ], [ "98", "122", "020", "VALUE:N1" ], [ "98", "123", "020", "VALUE:N1" ], [ "98", "124", "020", "VALUE:N1" ], [ "98", "125", "020", "VALUE:N1" ], [ "98", "128", "020", "VALUE:N2" ], [ "98", "152", "020", "VALUE:N2" ], [ "98", "153", "020", "VALUE:N2" ], [ "98", "155", "020", "VALUE:N2" ], [ "98", "158", "020", "VALUE:N2" ], [ "99", "010", "020", "VALUE:N1" ], [ "99", "100,110,115,118", "020", "VALUE:N1" ], [ "99", "121", "020", "VALUE:N1" ], [ "99", "122", "020", "VALUE:N1" ], [ "99", "123", "020", "VALUE:N1" ], [ "99", "124", "020", "VALUE:N1" ], [ "99", "125", "020", "VALUE:N1" ], [ "99", "128", "020", "VALUE:N2" ], [ "99", "152", "020", "VALUE:N2" ], [ "99", "153", "020", "VALUE:N2" ], [ "99", "155", "020", "VALUE:N2" ], [ "99", "158", "020", "VALUE:N2" ], [ "00", "010", "043", "VALUE:N1" ], [ "00", "100,110,115,118", "043", "VALUE:N1" ], [ "00", "121", "043", "VALUE:N1" ], [ "00", "122", "043", "VALUE:N1" ], [ "00", "123", "043", "VALUE:N1" ], [ "00", "124", "043", "VALUE:N1" ], [ "00", "125", "043", "VALUE:N1" ], [ "00", "128", "043", "VALUE:N1" ], [ "00", "152", "043", "VALUE:N1" ], [ "00", "153", "043", "VALUE:N1" ], [ "00", "155", "043", "VALUE:N1" ], [ "00", "158", "043", "VALUE:N2" ], [ "01", "010", "043", "VALUE:N1a" ], [ "01", "100,110,115,118", "043", "VALUE:N1" ], [ "01", "121", "043", "VALUE:N1" ], [ "01", "122", "043", "VALUE:N1b" ], [ "01", "123", "043", "VALUE:N1b" ], [ "01", "124", "043", "VALUE:N1b" ], [ "01", "125", "043", "VALUE:N1b" ], [ "01", "128", "043", "VALUE:N1" ], [ "01", "152", "043", "VALUE:N1b" ], [ "01", "153", "043", "VALUE:N1b" ], [ "01", "155", "043", "VALUE:N1b" ], [ "01", "158", "043", "VALUE:N1b" ], [ "02-03", "010", "043", "VALUE:N2a" ], [ "02-03", "100,110,115,118", "043", "VALUE:N2" ], [ "02-03", "121", "043", "VALUE:N2" ], [ "02-03", "122", "043", "VALUE:N2b" ], [ "02-03", "123", "043", "VALUE:N2b" ], [ "02-03", "124", "043", "VALUE:N1b" ], [ "02-03", "125", "043", "VALUE:N2b" ], [ "02-03", "128", "043", "VALUE:N1" ], [ "02-03", "152", "043", "VALUE:N2b" ], [ "02-03", "153", "043", "VALUE:N2b" ], [ "02-03", "155", "043", "VALUE:N2b" ], [ "02-03", "158", "043", "VALUE:N2b" ], [ "04-90", "010", "043", "VALUE:N3" ], [ "04-90", "100,110,115,118", "043", "VALUE:N3" ], [ "04-90", "121", "043", "VALUE:N1" ], [ "04-90", "122", "043", "VALUE:N3" ], [ "04-90", "123", "043", "VALUE:N3" ], [ "04-90", "124", "043", "VALUE:N3" ], [ "04-90", "125", "043", "VALUE:N3" ], [ "04-90", "128", "043", "VALUE:N1" ], [ "04-90", "152", "043", "VALUE:N3" ], [ "04-90", "153", "043", "VALUE:N3" ], [ "04-90", "155", "043", "VALUE:N3" ], [ "04-90", "158", "043", "VALUE:N3" ], [ "95", "010", "043", "VALUE:N1" ], [ "95", "100,110,115,118", "043", "VALUE:N1" ], [ "95", "121", "043", "VALUE:N1" ], [ "95", "122", "043", "VALUE:N1b" ], [ "95", "123", "043", "VALUE:N1b" ], [ "95", "124", "043", "VALUE:N1b" ], [ "95", "125", "043", "VALUE:N1" ], [ "95", "128", "043", "VALUE:N1" ], [ "95", "152", "043", "VALUE:N2b" ], [ "95", "153", "043", "VALUE:N2b" ], [ "95", "155", "043", "VALUE:N1" ], [ "95", "158", "043", "VALUE:N2b" ], [ "97", "010", "043", "VALUE:N1b" ], [ "97", "100,110,115,118", "043", "VALUE:N1b" ], [ "97", "121", "043", "VALUE:N1" ], [ "97", "122", "043", "VALUE:N1b" ], [ "97", "123", "043", "VALUE:N1b" ], [ "97", "124", "043", "VALUE:N1b" ], [ "97", "125", "043", "VALUE:N1b" ], [ "97", "128", "043", "VALUE:N1" ], [ "97", "152", "043", "VALUE:N2b" ], [ "97", "153", "043", "VALUE:N2b" ], [ "97", "155", "043", "VALUE:N2b" ], [ "97", "158", "043", "VALUE:N2b" ], [ "98", "010", "043", "VALUE:N1" ], [ "98", "100,110,115,118", "043", "VALUE:N1" ], [ "98", "121", "043", "VALUE:N1" ], [ "98", "122", "043", "VALUE:N1" ], [ "98", "123", "043", "VALUE:N1" ], [ "98", "124", "043", "VALUE:N1" ], [ "98", "125", "043", "VALUE:N1" ], [ "98", "128", "043", "VALUE:N1" ], [ "98", "152", "043", "VALUE:N1" ], [ "98", "153", "043", "VALUE:N1" ], [ "98", "155", "043", "VALUE:N1" ], [ "98", "158", "043", "VALUE:N2" ], [ "99", "010", "043", "VALUE:N1" ], [ "99", "100,110,115,118", "043", "VALUE:N1" ], [ "99", "121", "043", "VALUE:N1" ], [ "99", "122", "043", "VALUE:N1" ], [ "99", "123", "043", "VALUE:N1" ], [ "99", "124", "043", "VALUE:N1" ], [ "99", "125", "043", "VALUE:N1" ], [ "99", "128", "043", "VALUE:N1" ], [ "99", "152", "043", "VALUE:N1" ], [ "99", "153", "043", "VALUE:N1" ], [ "99", "155", "043", "VALUE:N1" ], [ "99", "158", "043", "VALUE:N2" ], [ "00", "010", "045", "VALUE:N2" ], [ "00", "100,110,115,118", "045", "VALUE:N2" ], [ "00", "121", "045", "VALUE:N2" ], [ "00", "122", "045", "VALUE:N2" ], [ "00", "123", "045", "VALUE:N2" ], [ "00", "124", "045", "VALUE:N2" ], [ "00", "125", "045", "VALUE:N2" ], [ "00", "128", "045", "VALUE:N2" ], [ "00", "152", "045", "VALUE:N2" ], [ "00", "153", "045", "VALUE:N2" ], [ "00", "155", "045", "VALUE:N2" ], [ "00", "158", "045", "VALUE:N2" ], [ "01", "010", "045", "VALUE:N2a" ], [ "01", "100,110,115,118", "045", "VALUE:N2" ], [ "01", "121", "045", "VALUE:N2" ], [ "01", "122", "045", "VALUE:N1b" ], [ "01", "123", "045", "VALUE:N1b" ], [ "01", "124", "045", "VALUE:N1b" ], [ "01", "125", "045", "VALUE:N1b" ], [ "01", "128", "045", "VALUE:N2" ], [ "01", "152", "045", "VALUE:N1b" ], [ "01", "153", "045", "VALUE:N1b" ], [ "01", "155", "045", "VALUE:N1b" ], [ "01", "158", "045", "VALUE:N1b" ], [ "02-03", "010", "045", "VALUE:N2a" ], [ "02-03", "100,110,115,118", "045", "VALUE:N2" ], [ "02-03", "121", "045", "VALUE:N2" ], [ "02-03", "122", "045", "VALUE:N2b" ], [ "02-03", "123", "045", "VALUE:N2b" ], [ "02-03", "124", "045", "VALUE:N1b" ], [ "02-03", "125", "045", "VALUE:N2b" ], [ "02-03", "128", "045", "VALUE:N2" ], [ "02-03", "152", "045", "VALUE:N2b" ], [ "02-03", "153", "045", "VALUE:N2b" ], [ "02-03", "155", "045", "VALUE:N2b" ], [ "02-03", "158", "045", "VALUE:N2b" ], [ "04-90", "010", "045", "VALUE:N3" ], [ "04-90", "100,110,115,118", "045", "VALUE:N3" ], [ "04-90", "121", "045", "VALUE:N2" ], [ "04-90", "122", "045", "VALUE:N3" ], [ "04-90", "123", "045", "VALUE:N3" ], [ "04-90", "124", "045", "VALUE:N3" ], [ "04-90", "125", "045", "VALUE:N3" ], [ "04-90", "128", "045", "VALUE:N2" ], [ "04-90", "152", "045", "VALUE:N3" ], [ "04-90", "153", "045", "VALUE:N3" ], [ "04-90", "155", "045", "VALUE:N3" ], [ "04-90", "158", "045", "VALUE:N3" ], [ "95", "010", "045", "VALUE:N2" ], [ "95", "100,110,115,118", "045", "VALUE:N2" ], [ "95", "121", "045", "VALUE:N2" ], [ "95", "122", "045", "VALUE:N1b" ], [ "95", "123", "045", "VALUE:N1b" ], [ "95", "124", "045", "VALUE:N1b" ], [ "95", "125", "045", "VALUE:N2" ], [ "95", "128", "045", "VALUE:N2" ], [ "95", "152", "045", "VALUE:N2b" ], [ "95", "153", "045", "VALUE:N2b" ], [ "95", "155", "045", "VALUE:N2" ], [ "95", "158", "045", "VALUE:N2b" ], [ "97", "010", "045", "VALUE:N2b" ], [ "97", "100,110,115,118", "045", "VALUE:N2" ], [ "97", "121", "045", "VALUE:N2" ], [ "97", "122", "045", "VALUE:N1b" ], [ "97", "123", "045", "VALUE:N1b" ], [ "97", "124", "045", "VALUE:N1b" ], [ "97", "125", "045", "VALUE:N1b" ], [ "97", "128", "045", "VALUE:N2" ], [ "97", "152", "045", "VALUE:N2b" ], [ "97", "153", "045", "VALUE:N2b" ], [ "97", "155", "045", "VALUE:N2b" ], [ "97", "158", "045", "VALUE:N2b" ], [ "98", "010", "045", "VALUE:N2" ], [ "98", "100,110,115,118", "045", "VALUE:N2" ], [ "98", "121", "045", "VALUE:N2" ], [ "98", "122", "045", "VALUE:N2" ], [ "98", "123", "045", "VALUE:N2" ], [ "98", "124", "045", "VALUE:N2" ], [ "98", "125", "045", "VALUE:N2" ], [ "98", "128", "045", "VALUE:N2" ], [ "98", "152", "045", "VALUE:N2" ], [ "98", "153", "045", "VALUE:N2" ], [ "98", "155", "045", "VALUE:N2" ], [ "98", "158", "045", "VALUE:N2" ], [ "99", "010", "045", "VALUE:N2" ], [ "99", "100,110,115,118", "045", "VALUE:N2" ], [ "99", "121", "045", "VALUE:N2" ], [ "99", "122", "045", "VALUE:N2" ], [ "99", "123", "045", "VALUE:N2" ], [ "99", "124", "045", "VALUE:N2" ], [ "99", "125", "045", "VALUE:N2" ], [ "99", "128", "045", "VALUE:N2" ], [ "99", "152", "045", "VALUE:N2" ], [ "99", "153", "045", "VALUE:N2" ], [ "99", "155", "045", "VALUE:N2" ], [ "99", "158", "045", "VALUE:N2" ], [ "00", "010", "048", "VALUE:N3" ], [ "00", "100,110,115,118", "048", "VALUE:N3" ], [ "00", "121", "048", "VALUE:N3" ], [ "00", "122", "048", "VALUE:N3" ], [ "00", "123", "048", "VALUE:N3" ], [ "00", "124", "048", "VALUE:N3" ], [ "00", "125", "048", "VALUE:N3" ], [ "00", "128", "048", "VALUE:N3" ], [ "00", "152", "048", "VALUE:N3" ], [ "00", "153", "048", "VALUE:N3" ], [ "00", "155", "048", "VALUE:N3" ], [ "00", "158", "048", "VALUE:N2" ], [ "01", "010", "048", "VALUE:N3" ], [ "01", "100,110,115,118", "048", "VALUE:N3" ], [ "01", "121", "048", "VALUE:N3" ], [ "01", "122", "048", "VALUE:N1b" ], [ "01", "123", "048", "VALUE:N1b" ], [ "01", "124", "048", "VALUE:N1b" ], [ "01", "125", "048", "VALUE:N1b" ], [ "01", "128", "048", "VALUE:N3" ], [ "01", "152", "048", "VALUE:N1b" ], [ "01", "153", "048", "VALUE:N1b" ], [ "01", "155", "048", "VALUE:N1b" ], [ "01", "158", "048", "VALUE:N1b" ], [ "02-03", "010", "048", "VALUE:N3" ], [ "02-03", "100,110,115,118", "048", "VALUE:N3" ], [ "02-03", "121", "048", "VALUE:N3" ], [ "02-03", "122", "048", "VALUE:N2b" ], [ "02-03", "123", "048", "VALUE:N2b" ], [ "02-03", "124", "048", "VALUE:N1b" ], [ "02-03", "125", "048", "VALUE:N2b" ], [ "02-03", "128", "048", "VALUE:N3" ], [ "02-03", "152", "048", "VALUE:N2b" ], [ "02-03", "153", "048", "VALUE:N2b" ], [ "02-03", "155", "048", "VALUE:N2b" ], [ "02-03", "158", "048", "VALUE:N2b" ], [ "04-90", "010", "048", "VALUE:N3" ], [ "04-90", "100,110,115,118", "048", "VALUE:N3" ], [ "04-90", "121", "048", "VALUE:N3" ], [ "04-90", "122", "048", "VALUE:N3" ], [ "04-90", "123", "048", "VALUE:N3" ], [ "04-90", "124", "048", "VALUE:N3" ], [ "04-90", "125", "048", "VALUE:N3" ], [ "04-90", "128", "048", "VALUE:N3" ], [ "04-90", "152", "048", "VALUE:N3" ], [ "04-90", "153", "048", "VALUE:N3" ], [ "04-90", "155", "048", "VALUE:N3" ], [ "04-90", "158", "048", "VALUE:N3" ], [ "95", "010", "048", "VALUE:N3" ], [ "95", "100,110,115,118", "048", "VALUE:N3" ], [ "95", "121", "048", "VALUE:N3" ], [ "95", "122", "048", "VALUE:N1b" ], [ "95", "123", "048", "VALUE:N1b" ], [ "95", "124", "048", "VALUE:N1b" ], [ "95", "125", "048", "VALUE:N3" ], [ "95", "128", "048", "VALUE:N3" ], [ "95", "152", "048", "VALUE:N2b" ], [ "95", "153", "048", "VALUE:N2b" ], [ "95", "155", "048", "VALUE:N3" ], [ "95", "158", "048", "VALUE:N2b" ], [ "97", "010", "048", "VALUE:N3" ], [ "97", "100,110,115,118", "048", "VALUE:N3" ], [ "97", "121", "048", "VALUE:N3" ], [ "97", "122", "048", "VALUE:N1b" ], [ "97", "123", "048", "VALUE:N1b" ], [ "97", "124", "048", "VALUE:N1b" ], [ "97", "125", "048", "VALUE:N1b" ], [ "97", "128", "048", "VALUE:N3" ], [ "97", "152", "048", "VALUE:N2b" ], [ "97", "153", "048", "VALUE:N2b" ], [ "97", "155", "048", "VALUE:N2b" ], [ "97", "158", "048", "VALUE:N2b" ], [ "98", "010", "048", "VALUE:N3" ], [ "98", "100,110,115,118", "048", "VALUE:N3" ], [ "98", "121", "048", "VALUE:N3" ], [ "98", "122", "048", "VALUE:N3" ], [ "98", "123", "048", "VALUE:N3" ], [ "98", "124", "048", "VALUE:N3" ], [ "98", "125", "048", "VALUE:N3" ], [ "98", "128", "048", "VALUE:N3" ], [ "98", "152", "048", "VALUE:N3" ], [ "98", "153", "048", "VALUE:N3" ], [ "98", "155", "048", "VALUE:N3" ], [ "98", "158", "048", "VALUE:N2" ], [ "99", "010", "048", "VALUE:N3" ], [ "99", "100,110,115,118", "048", "VALUE:N3" ], [ "99", "121", "048", "VALUE:N3" ], [ "99", "122", "048", "VALUE:N3" ], [ "99", "123", "048", "VALUE:N3" ], [ "99", "124", "048", "VALUE:N3" ], [ "99", "125", "048", "VALUE:N3" ], [ "99", "128", "048", "VALUE:N3" ], [ "99", "152", "048", "VALUE:N3" ], [ "99", "153", "048", "VALUE:N3" ], [ "99", "155", "048", "VALUE:N3" ], [ "99", "158", "048", "VALUE:N2" ], [ "00", "010", "050", "VALUE:N1" ], [ "00", "100,110,115,118", "050", "VALUE:N1" ], [ "00", "121", "050", "VALUE:N1" ], [ "00", "122", "050", "VALUE:N1" ], [ "00", "123", "050", "VALUE:N1" ], [ "00", "124", "050", "VALUE:N1" ], [ "00", "125", "050", "VALUE:N1" ], [ "00", "128", "050", "VALUE:N2" ], [ "00", "152", "050", "VALUE:N2" ], [ "00", "153", "050", "VALUE:N2" ], [ "00", "155", "050", "VALUE:N2" ], [ "00", "158", "050", "VALUE:N2" ], [ "01", "010", "050", "VALUE:N1a" ], [ "01", "100,110,115,118", "050", "VALUE:N1" ], [ "01", "121", "050", "VALUE:N1" ], [ "01", "122", "050", "VALUE:N1b" ], [ "01", "123", "050", "VALUE:N1b" ], [ "01", "124", "050", "VALUE:N1b" ], [ "01", "125", "050", "VALUE:N1NOS" ], [ "01", "128", "050", "VALUE:N2" ], [ "01", "152", "050", "VALUE:N2b" ], [ "01", "153", "050", "VALUE:N2b" ], [ "01", "155", "050", "VALUE:N2b" ], [ "01", "158", "050", "VALUE:N2b" ], [ "02-03", "010", "050", "VALUE:N2a" ], [ "02-03", "100,110,115,118", "050", "VALUE:N1" ], [ "02-03", "121", "050", "VALUE:N1" ], [ "02-03", "122", "050", "VALUE:N2b" ], [ "02-03", "123", "050", "VALUE:N2b" ], [ "02-03", "124", "050", "VALUE:N2b" ], [ "02-03", "125", "050", "VALUE:N2b" ], [ "02-03", "128", "050", "VALUE:N2" ], [ "02-03", "152", "050", "VALUE:N2b" ], [ "02-03", "153", "050", "VALUE:N2b" ], [ "02-03", "155", "050", "VALUE:N2b" ], [ "02-03", "158", "050", "VALUE:N2b" ], [ "04-90", "010", "050", "VALUE:N3" ], [ "04-90", "100,110,115,118", "050", "VALUE:N3" ], [ "04-90", "121", "050", "VALUE:N1" ], [ "04-90", "122", "050", "VALUE:N3" ], [ "04-90", "123", "050", "VALUE:N3" ], [ "04-90", "124", "050", "VALUE:N3" ], [ "04-90", "125", "050", "VALUE:N3" ], [ "04-90", "128", "050", "VALUE:N2" ], [ "04-90", "152", "050", "VALUE:N3" ], [ "04-90", "153", "050", "VALUE:N3" ], [ "04-90", "155", "050", "VALUE:N3" ], [ "04-90", "158", "050", "VALUE:N3" ], [ "95", "010", "050", "VALUE:N1" ], [ "95", "100,110,115,118", "050", "VALUE:N1" ], [ "95", "121", "050", "VALUE:N1" ], [ "95", "122", "050", "VALUE:N1b" ], [ "95", "123", "050", "VALUE:N1b" ], [ "95", "124", "050", "VALUE:N1b" ], [ "95", "125", "050", "VALUE:N1" ], [ "95", "128", "050", "VALUE:N2" ], [ "95", "152", "050", "VALUE:N2b" ], [ "95", "153", "050", "VALUE:N2b" ], [ "95", "155", "050", "VALUE:N2" ], [ "95", "158", "050", "VALUE:N2b" ], [ "97", "010", "050", "VALUE:N1b" ], [ "97", "100,110,115,118", "050", "VALUE:N1b" ], [ "97", "121", "050", "VALUE:N1" ], [ "97", "122", "050", "VALUE:N1b" ], [ "97", "123", "050", "VALUE:N1b" ], [ "97", "124", "050", "VALUE:N1b" ], [ "97", "125", "050", "VALUE:N1NOS" ], [ "97", "128", "050", "VALUE:N2" ], [ "97", "152", "050", "VALUE:N2b" ], [ "97", "153", "050", "VALUE:N2b" ], [ "97", "155", "050", "VALUE:N2b" ], [ "97", "158", "050", "VALUE:N2b" ], [ "98", "010", "050", "VALUE:N1" ], [ "98", "100,110,115,118", "050", "VALUE:N1" ], [ "98", "121", "050", "VALUE:N1" ], [ "98", "122", "050", "VALUE:N1" ], [ "98", "123", "050", "VALUE:N1" ], [ "98", "124", "050", "VALUE:N1" ], [ "98", "125", "050", "VALUE:N1" ], [ "98", "128", "050", "VALUE:N2" ], [ "98", "152", "050", "VALUE:N2" ], [ "98", "153", "050", "VALUE:N2" ], [ "98", "155", "050", "VALUE:N2" ], [ "98", "158", "050", "VALUE:N2" ], [ "99", "010", "050", "VALUE:N1" ], [ "99", "100,110,115,118", "050", "VALUE:N1" ], [ "99", "121", "050", "VALUE:N1" ], [ "99", "122", "050", "VALUE:N1" ], [ "99", "123", "050", "VALUE:N1" ], [ "99", "124", "050", "VALUE:N1" ], [ "99", "125", "050", "VALUE:N1" ], [ "99", "128", "050", "VALUE:N2" ], [ "99", "152", "050", "VALUE:N2" ], [ "99", "153", "050", "VALUE:N2" ], [ "99", "155", "050", "VALUE:N2" ], [ "99", "158", "050", "VALUE:N2" ], [ "00", "010", "100", "ERROR:" ], [ "00", "100,110,115,118", "100", "ERROR:" ], [ "00", "121", "100", "ERROR:" ], [ "00", "122", "100", "ERROR:" ], [ "00", "123", "100", "ERROR:" ], [ "00", "124", "100", "ERROR:" ], [ "00", "125", "100", "ERROR:" ], [ "00", "128", "100", "ERROR:" ], [ "00", "152", "100", "ERROR:" ], [ "00", "153", "100", "ERROR:" ], [ "00", "155", "100", "VALUE:N2c" ], [ "00", "158", "100", "VALUE:N2c" ], [ "01", "010", "100", "ERROR:" ], [ "01", "100,110,115,118", "100", "ERROR:" ], [ "01", "121", "100", "ERROR:" ], [ "01", "122", "100", "ERROR:" ], [ "01", "123", "100", "ERROR:" ], [ "01", "124", "100", "ERROR:" ], [ "01", "125", "100", "ERROR:" ], [ "01", "128", "100", "ERROR:" ], [ "01", "152", "100", "ERROR:" ], [ "01", "153", "100", "ERROR:" ], [ "01", "155", "100", "ERROR:" ], [ "01", "158", "100", "ERROR:" ], [ "02-03", "010", "100", "ERROR:" ], [ "02-03", "100,110,115,118", "100", "ERROR:" ], [ "02-03", "121", "100", "ERROR:" ], [ "02-03", "122", "100", "ERROR:" ], [ "02-03", "123", "100", "ERROR:" ], [ "02-03", "124", "100", "ERROR:" ], [ "02-03", "125", "100", "ERROR:" ], [ "02-03", "128", "100", "ERROR:" ], [ "02-03", "152", "100", "ERROR:" ], [ "02-03", "153", "100", "ERROR:" ], [ "02-03", "155", "100", "ERROR:" ], [ "02-03", "158", "100", "ERROR:" ], [ "04-90", "010", "100", "ERROR:" ], [ "04-90", "100,110,115,118", "100", "ERROR:" ], [ "04-90", "121", "100", "ERROR:" ], [ "04-90", "122", "100", "ERROR:" ], [ "04-90", "123", "100", "ERROR:" ], [ "04-90", "124", "100", "ERROR:" ], [ "04-90", "125", "100", "ERROR:" ], [ "04-90", "128", "100", "ERROR:" ], [ "04-90", "152", "100", "ERROR:" ], [ "04-90", "153", "100", "ERROR:" ], [ "04-90", "155", "100", "ERROR:" ], [ "04-90", "158", "100", "ERROR:" ], [ "95", "010", "100", "ERROR:" ], [ "95", "100,110,115,118", "100", "ERROR:" ], [ "95", "121", "100", "ERROR:" ], [ "95", "122", "100", "ERROR:" ], [ "95", "123", "100", "ERROR:" ], [ "95", "124", "100", "ERROR:" ], [ "95", "125", "100", "ERROR:" ], [ "95", "128", "100", "ERROR:" ], [ "95", "152", "100", "ERROR:" ], [ "95", "153", "100", "ERROR:" ], [ "95", "155", "100", "ERROR:" ], [ "95", "158", "100", "ERROR:" ], [ "97", "010", "100", "ERROR:" ], [ "97", "100,110,115,118", "100", "ERROR:" ], [ "97", "121", "100", "ERROR:" ], [ "97", "122", "100", "ERROR:" ], [ "97", "123", "100", "ERROR:" ], [ "97", "124", "100", "ERROR:" ], [ "97", "125", "100", "ERROR:" ], [ "97", "128", "100", "ERROR:" ], [ "97", "152", "100", "ERROR:" ], [ "97", "153", "100", "ERROR:" ], [ "97", "155", "100", "ERROR:" ], [ "97", "158", "100", "ERROR:" ], [ "98", "010", "100", "ERROR:" ], [ "98", "100,110,115,118", "100", "ERROR:" ], [ "98", "121", "100", "ERROR:" ], [ "98", "122", "100", "ERROR:" ], [ "98", "123", "100", "ERROR:" ], [ "98", "124", "100", "ERROR:" ], [ "98", "125", "100", "ERROR:" ], [ "98", "128", "100", "ERROR:" ], [ "98", "152", "100", "ERROR:" ], [ "98", "153", "100", "ERROR:" ], [ "98", "155", "100", "VALUE:N2c" ], [ "98", "158", "100", "VALUE:N2c" ], [ "99", "010", "100", "ERROR:" ], [ "99", "100,110,115,118", "100", "ERROR:" ], [ "99", "121", "100", "ERROR:" ], [ "99", "122", "100", "ERROR:" ], [ "99", "123", "100", "ERROR:" ], [ "99", "124", "100", "ERROR:" ], [ "99", "125", "100", "ERROR:" ], [ "99", "128", "100", "ERROR:" ], [ "99", "152", "100", "ERROR:" ], [ "99", "153", "100", "ERROR:" ], [ "99", "155", "100", "VALUE:N2c" ], [ "99", "158", "100", "VALUE:N2c" ], [ "00", "010", "150", "VALUE:N3" ], [ "00", "100,110,115,118", "150", "VALUE:N3" ], [ "00", "121", "150", "VALUE:N3" ], [ "00", "122", "150", "VALUE:N3" ], [ "00", "123", "150", "VALUE:N3" ], [ "00", "124", "150", "VALUE:N3" ], [ "00", "125", "150", "VALUE:N3" ], [ "00", "128", "150", "VALUE:N3" ], [ "00", "152", "150", "VALUE:N3" ], [ "00", "153", "150", "VALUE:N3" ], [ "00", "155", "150", "VALUE:N3" ], [ "00", "158", "150", "VALUE:N3" ], [ "01", "010", "150", "VALUE:N3" ], [ "01", "100,110,115,118", "150", "VALUE:N3" ], [ "01", "121", "150", "VALUE:N3" ], [ "01", "122", "150", "VALUE:N3" ], [ "01", "123", "150", "VALUE:N3" ], [ "01", "124", "150", "VALUE:N3" ], [ "01", "125", "150", "VALUE:N3" ], [ "01", "128", "150", "VALUE:N3" ], [ "01", "152", "150", "VALUE:N3" ], [ "01", "153", "150", "VALUE:N3" ], [ "01", "155", "150", "VALUE:N3" ], [ "01", "158", "150", "VALUE:N3" ], [ "02-03", "010", "150", "VALUE:N3" ], [ "02-03", "100,110,115,118", "150", "VALUE:N3" ], [ "02-03", "121", "150", "VALUE:N3" ], [ "02-03", "122", "150", "VALUE:N3" ], [ "02-03", "123", "150", "VALUE:N3" ], [ "02-03", "124", "150", "VALUE:N3" ], [ "02-03", "125", "150", "VALUE:N3" ], [ "02-03", "128", "150", "VALUE:N3" ], [ "02-03", "152", "150", "VALUE:N3" ], [ "02-03", "153", "150", "VALUE:N3" ], [ "02-03", "155", "150", "VALUE:N3" ], [ "02-03", "158", "150", "VALUE:N3" ], [ "04-90", "010", "150", "VALUE:N3" ], [ "04-90", "100,110,115,118", "150", "VALUE:N3" ], [ "04-90", "121", "150", "VALUE:N3" ], [ "04-90", "122", "150", "VALUE:N3" ], [ "04-90", "123", "150", "VALUE:N3" ], [ "04-90", "124", "150", "VALUE:N3" ], [ "04-90", "125", "150", "VALUE:N3" ], [ "04-90", "128", "150", "VALUE:N3" ], [ "04-90", "152", "150", "VALUE:N3" ], [ "04-90", "153", "150", "VALUE:N3" ], [ "04-90", "155", "150", "VALUE:N3" ], [ "04-90", "158", "150", "VALUE:N3" ], [ "95", "010", "150", "VALUE:N3" ], [ "95", "100,110,115,118", "150", "VALUE:N3" ], [ "95", "121", "150", "VALUE:N3" ], [ "95", "122", "150", "VALUE:N3" ], [ "95", "123", "150", "VALUE:N3" ], [ "95", "124", "150", "VALUE:N3" ], [ "95", "125", "150", "VALUE:N3" ], [ "95", "128", "150", "VALUE:N3" ], [ "95", "152", "150", "VALUE:N3" ], [ "95", "153", "150", "VALUE:N3" ], [ "95", "155", "150", "VALUE:N3" ], [ "95", "158", "150", "VALUE:N3" ], [ "97", "010", "150", "VALUE:N3" ], [ "97", "100,110,115,118", "150", "VALUE:N3" ], [ "97", "121", "150", "VALUE:N3" ], [ "97", "122", "150", "VALUE:N3" ], [ "97", "123", "150", "VALUE:N3" ], [ "97", "124", "150", "VALUE:N3" ], [ "97", "125", "150", "VALUE:N3" ], [ "97", "128", "150", "VALUE:N3" ], [ "97", "152", "150", "VALUE:N3" ], [ "97", "153", "150", "VALUE:N3" ], [ "97", "155", "150", "VALUE:N3" ], [ "97", "158", "150", "VALUE:N3" ], [ "98", "010", "150", "VALUE:N3" ], [ "98", "100,110,115,118", "150", "VALUE:N3" ], [ "98", "121", "150", "VALUE:N3" ], [ "98", "122", "150", "VALUE:N3" ], [ "98", "123", "150", "VALUE:N3" ], [ "98", "124", "150", "VALUE:N3" ], [ "98", "125", "150", "VALUE:N3" ], [ "98", "128", "150", "VALUE:N3" ], [ "98", "152", "150", "VALUE:N3" ], [ "98", "153", "150", "VALUE:N3" ], [ "98", "155", "150", "VALUE:N3" ], [ "98", "158", "150", "VALUE:N3" ], [ "99", "010", "150", "VALUE:N3" ], [ "99", "100,110,115,118", "150", "VALUE:N3" ], [ "99", "121", "150", "VALUE:N3" ], [ "99", "122", "150", "VALUE:N3" ], [ "99", "123", "150", "VALUE:N3" ], [ "99", "124", "150", "VALUE:N3" ], [ "99", "125", "150", "VALUE:N3" ], [ "99", "128", "150", "VALUE:N3" ], [ "99", "152", "150", "VALUE:N3" ], [ "99", "153", "150", "VALUE:N3" ], [ "99", "155", "150", "VALUE:N3" ], [ "99", "158", "150", "VALUE:N3" ], [ "00", "010", "988", "ERROR:" ], [ "00", "100,110,115,118", "988", "ERROR:" ], [ "00", "121", "988", "ERROR:" ], [ "00", "122", "988", "ERROR:" ], [ "00", "123", "988", "ERROR:" ], [ "00", "124", "988", "ERROR:" ], [ "00", "125", "988", "ERROR:" ], [ "00", "128", "988", "ERROR:" ], [ "00", "152", "988", "ERROR:" ], [ "00", "153", "988", "ERROR:" ], [ "00", "155", "988", "ERROR:" ], [ "00", "158", "988", "ERROR:" ], [ "01", "010", "988", "ERROR:" ], [ "01", "100,110,115,118", "988", "ERROR:" ], [ "01", "121", "988", "ERROR:" ], [ "01", "122", "988", "ERROR:" ], [ "01", "123", "988", "ERROR:" ], [ "01", "124", "988", "ERROR:" ], [ "01", "125", "988", "ERROR:" ], [ "01", "128", "988", "ERROR:" ], [ "01", "152", "988", "ERROR:" ], [ "01", "153", "988", "ERROR:" ], [ "01", "155", "988", "ERROR:" ], [ "01", "158", "988", "ERROR:" ], [ "02-03", "010", "988", "ERROR:" ], [ "02-03", "100,110,115,118", "988", "ERROR:" ], [ "02-03", "121", "988", "ERROR:" ], [ "02-03", "122", "988", "ERROR:" ], [ "02-03", "123", "988", "ERROR:" ], [ "02-03", "124", "988", "ERROR:" ], [ "02-03", "125", "988", "ERROR:" ], [ "02-03", "128", "988", "ERROR:" ], [ "02-03", "152", "988", "ERROR:" ], [ "02-03", "153", "988", "ERROR:" ], [ "02-03", "155", "988", "ERROR:" ], [ "02-03", "158", "988", "ERROR:" ], [ "04-90", "010", "988", "ERROR:" ], [ "04-90", "100,110,115,118", "988", "ERROR:" ], [ "04-90", "121", "988", "ERROR:" ], [ "04-90", "122", "988", "ERROR:" ], [ "04-90", "123", "988", "ERROR:" ], [ "04-90", "124", "988", "ERROR:" ], [ "04-90", "125", "988", "ERROR:" ], [ "04-90", "128", "988", "ERROR:" ], [ "04-90", "152", "988", "ERROR:" ], [ "04-90", "153", "988", "ERROR:" ], [ "04-90", "155", "988", "ERROR:" ], [ "04-90", "158", "988", "ERROR:" ], [ "95", "010", "988", "ERROR:" ], [ "95", "100,110,115,118", "988", "ERROR:" ], [ "95", "121", "988", "ERROR:" ], [ "95", "122", "988", "ERROR:" ], [ "95", "123", "988", "ERROR:" ], [ "95", "124", "988", "ERROR:" ], [ "95", "125", "988", "ERROR:" ], [ "95", "128", "988", "ERROR:" ], [ "95", "152", "988", "ERROR:" ], [ "95", "153", "988", "ERROR:" ], [ "95", "155", "988", "ERROR:" ], [ "95", "158", "988", "ERROR:" ], [ "97", "010", "988", "ERROR:" ], [ "97", "100,110,115,118", "988", "ERROR:" ], [ "97", "121", "988", "ERROR:" ], [ "97", "122", "988", "ERROR:" ], [ "97", "123", "988", "ERROR:" ], [ "97", "124", "988", "ERROR:" ], [ "97", "125", "988", "ERROR:" ], [ "97", "128", "988", "ERROR:" ], [ "97", "152", "988", "ERROR:" ], [ "97", "153", "988", "ERROR:" ], [ "97", "155", "988", "ERROR:" ], [ "97", "158", "988", "ERROR:" ], [ "98", "010", "988", "ERROR:" ], [ "98", "100,110,115,118", "988", "ERROR:" ], [ "98", "121", "988", "ERROR:" ], [ "98", "122", "988", "ERROR:" ], [ "98", "123", "988", "ERROR:" ], [ "98", "124", "988", "ERROR:" ], [ "98", "125", "988", "ERROR:" ], [ "98", "128", "988", "ERROR:" ], [ "98", "152", "988", "ERROR:" ], [ "98", "153", "988", "ERROR:" ], [ "98", "155", "988", "ERROR:" ], [ "98", "158", "988", "ERROR:" ], [ "99", "010", "988", "ERROR:" ], [ "99", "100,110,115,118", "988", "ERROR:" ], [ "99", "121", "988", "ERROR:" ], [ "99", "122", "988", "ERROR:" ], [ "99", "123", "988", "ERROR:" ], [ "99", "124", "988", "ERROR:" ], [ "99", "125", "988", "ERROR:" ], [ "99", "128", "988", "ERROR:" ], [ "99", "152", "988", "ERROR:" ], [ "99", "153", "988", "ERROR:" ], [ "99", "155", "988", "ERROR:" ], [ "99", "158", "988", "ERROR:" ], [ "00", "010", "999", "VALUE:N1" ], [ "00", "100,110,115,118", "999", "VALUE:N1" ], [ "00", "121", "999", "VALUE:N1" ], [ "00", "122", "999", "VALUE:N1" ], [ "00", "123", "999", "VALUE:N1" ], [ "00", "124", "999", "VALUE:N1" ], [ "00", "125", "999", "VALUE:N1" ], [ "00", "128", "999", "VALUE:N2" ], [ "00", "152", "999", "VALUE:N2" ], [ "00", "153", "999", "VALUE:N2" ], [ "00", "155", "999", "VALUE:N2" ], [ "00", "158", "999", "VALUE:N2" ], [ "01", "010", "999", "VALUE:N1a" ], [ "01", "100,110,115,118", "999", "VALUE:N1" ], [ "01", "121", "999", "VALUE:N1" ], [ "01", "122", "999", "VALUE:N1a" ], [ "01", "123", "999", "VALUE:N1b" ], [ "01", "124", "999", "VALUE:N1NOS" ], [ "01", "125", "999", "VALUE:N1NOS" ], [ "01", "128", "999", "VALUE:N2" ], [ "01", "152", "999", "VALUE:N2a" ], [ "01", "153", "999", "VALUE:N2b" ], [ "01", "155", "999", "VALUE:N2NOS" ], [ "01", "158", "999", "VALUE:N2NOS" ], [ "02-03", "010", "999", "VALUE:N2a" ], [ "02-03", "100,110,115,118", "999", "VALUE:N2" ], [ "02-03", "121", "999", "VALUE:N2" ], [ "02-03", "122", "999", "VALUE:N2a" ], [ "02-03", "123", "999", "VALUE:N2b" ], [ "02-03", "124", "999", "VALUE:N2NOS" ], [ "02-03", "125", "999", "VALUE:N2NOS" ], [ "02-03", "128", "999", "VALUE:N2" ], [ "02-03", "152", "999", "VALUE:N2a" ], [ "02-03", "153", "999", "VALUE:N2b" ], [ "02-03", "155", "999", "VALUE:N2NOS" ], [ "02-03", "158", "999", "VALUE:N2NOS" ], [ "04-90", "010", "999", "VALUE:N3" ], [ "04-90", "100,110,115,118", "999", "VALUE:N3" ], [ "04-90", "121", "999", "VALUE:N1" ], [ "04-90", "122", "999", "VALUE:N3" ], [ "04-90", "123", "999", "VALUE:N3" ], [ "04-90", "124", "999", "VALUE:N3" ], [ "04-90", "125", "999", "VALUE:N3" ], [ "04-90", "128", "999", "VALUE:N2" ], [ "04-90", "152", "999", "VALUE:N3" ], [ "04-90", "153", "999", "VALUE:N3" ], [ "04-90", "155", "999", "VALUE:N3" ], [ "04-90", "158", "999", "VALUE:N3" ], [ "95", "010", "999", "VALUE:N1a" ], [ "95", "100,110,115,118", "999", "VALUE:N1" ], [ "95", "121", "999", "VALUE:N1" ], [ "95", "122", "999", "VALUE:N1a" ], [ "95", "123", "999", "VALUE:N1b" ], [ "95", "124", "999", "VALUE:N1NOS" ], [ "95", "125", "999", "VALUE:N1" ], [ "95", "128", "999", "VALUE:N2" ], [ "95", "152", "999", "VALUE:N2a" ], [ "95", "153", "999", "VALUE:N2b" ], [ "95", "155", "999", "VALUE:N2" ], [ "95", "158", "999", "VALUE:N2NOS" ], [ "97", "010", "999", "VALUE:N1a" ], [ "97", "100,110,115,118", "999", "VALUE:N1" ], [ "97", "121", "999", "VALUE:N1" ], [ "97", "122", "999", "VALUE:N1a" ], [ "97", "123", "999", "VALUE:N1b" ], [ "97", "124", "999", "VALUE:N1NOS" ], [ "97", "125", "999", "VALUE:N1NOS" ], [ "97", "128", "999", "VALUE:N2" ], [ "97", "152", "999", "VALUE:N2a" ], [ "97", "153", "999", "VALUE:N2b" ], [ "97", "155", "999", "VALUE:N2NOS" ], [ "97", "158", "999", "VALUE:N2NOS" ], [ "98", "010", "999", "VALUE:N1" ], [ "98", "100,110,115,118", "999", "VALUE:N1" ], [ "98", "121", "999", "VALUE:N1" ], [ "98", "122", "999", "VALUE:N1" ], [ "98", "123", "999", "VALUE:N1" ], [ "98", "124", "999", "VALUE:N1" ], [ "98", "125", "999", "VALUE:N1" ], [ "98", "128", "999", "VALUE:N2" ], [ "98", "152", "999", "VALUE:N2" ], [ "98", "153", "999", "VALUE:N2" ], [ "98", "155", "999", "VALUE:N2" ], [ "98", "158", "999", "VALUE:N2" ], [ "99", "010", "999", "VALUE:N1a" ], [ "99", "100,110,115,118", "999", "VALUE:N1" ], [ "99", "121", "999", "VALUE:N1" ], [ "99", "122", "999", "VALUE:N1a" ], [ "99", "123", "999", "VALUE:N1b" ], [ "99", "124", "999", "VALUE:N1NOS" ], [ "99", "125", "999", "VALUE:N1NOS" ], [ "99", "128", "999", "VALUE:N2" ], [ "99", "152", "999", "VALUE:N2a" ], [ "99", "153", "999", "VALUE:N2b" ], [ "99", "155", "999", "VALUE:N2NOS" ], [ "99", "158", "999", "VALUE:N2NOS" ] ]
}




© 2015 - 2024 Weber Informatics LLC | Privacy Policy