All Downloads are FREE. Search and download functionalities are using the official Maven repository.

lspace.librarian.provider.mem.MemValue.scala Maven / Gradle / Ivy

There is a newer version: 0.6.0.12
Show newest version
package lspace.librarian.provider.mem

import lspace.librarian.structure.{DataType, Value}

object MemValue {}

trait MemValue[T] extends MemResource[T] with Value[T]




© 2015 - 2024 Weber Informatics LLC | Privacy Policy