All Downloads are FREE. Search and download functionalities are using the official Maven repository.

lspace.provider.mem.MemValue.scala Maven / Gradle / Ivy

package lspace.provider.mem

import lspace.structure.Value

object MemValue {}

trait MemValue[T] extends MemResource[T] with Value[T]




© 2015 - 2024 Weber Informatics LLC | Privacy Policy