All Downloads are FREE. Search and download functionalities are using the official Maven repository.

im.actor.acl.ACLFiles.scala Maven / Gradle / Ivy

There is a newer version: 3.0.0
Show newest version
package im.actor.acl

import akka.actor.ActorSystem

object ACLFiles extends ACLFiles

trait ACLFiles extends ACLBase {
  def fileAccessHash(fileId: Long, accessSalt: String)(implicit s: ActorSystem): Long =
    hash(s"$fileId:$accessSalt:${secretKey()}")
}




© 2015 - 2024 Weber Informatics LLC | Privacy Policy