kr.motd.maven.sphinx.dist.pygments.lexers.hdl$py.class Maven / Gradle / Ivy
Go to download
Show more of this group Show more artifacts with this name
Show all versions of sphinx-maven-plugin Show documentation
Show all versions of sphinx-maven-plugin Show documentation
Maven plugin that creates the site with Sphinx
???? 1 f$0 R(Lorg/python/core/PyFrame;Lorg/python/core/ThreadState;)Lorg/python/core/PyObject; __doc__ ?
pygments.lexers.hdl
~~~~~~~~~~~~~~~~~~~
Lexers for hardware descriptor languages.
:copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS.
:license: BSD, see LICENSE for details.
org/python/core/PyString fromInterned .(Ljava/lang/String;)Lorg/python/core/PyString;
org/python/core/PyFrame
setglobal /(Ljava/lang/String;Lorg/python/core/PyObject;)V
setline (I)V
re org/python/core/imp importOne H(Ljava/lang/String;Lorg/python/core/PyFrame;I)Lorg/python/core/PyObject;
setlocal
pygments.lexer " java/lang/String $
RegexLexer & bygroups ( include * using , this . words 0
importFrom \(Ljava/lang/String;[Ljava/lang/String;Lorg/python/core/PyFrame;I)[Lorg/python/core/PyObject; 2 3
4 pygments.token 6 Text 8 Comment : Operator < Keyword > Name @ String B Number D Punctuation F Error H org/python/core/PyList J org/python/core/PyObject L VerilogLexer N SystemVerilogLexer P VhdlLexer R ([Lorg/python/core/PyObject;)V T U
K V __all__ X getname .(Ljava/lang/String;)Lorg/python/core/PyObject; Z [
\ VerilogLexer$1
__module__ _ __name__ a Z
For verilog source code with preprocessor directives.
.. versionadded:: 1.4
c verilog e name g v i aliases k *.v m filenames o text/x-verilog q mimetypes s (?:\s|//.*?\n|/[*].*?[*]/)+ u _ws w org/python/core/PyDictionary y root { org/python/core/PyTuple } ^\s*`define Preproc ? __getattr__ ? [
M ? macro ?
~ V \n ? \s+ ? \\\n ? /(\\\n)?/(\n|(.|\n)*?[^\\]\n) ? Single ? /(\\\n)?[*](.|\n)*?[*](\\\n)?/ ? Multiline ? [{}#@] ? L?" ? string ? 4L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])' ? Char ? %(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]? ? Float ? (\d+\.\d*|\.\d+|\d+[fF])[fF]? ? ([0-9]+)|(\'h)[0-9a-fA-F]+ ? Hex ? ([0-9]+)|(\'b)[01]+ ? Bin ? ([0-9]+)|(\'d)[0-9]+ ? Integer ? ([0-9]+)|(\'o)[0-7]+ ? Oct ? \'[01xz] ? \d+[Ll]? ? \*/ ? [~!%^&*+=|?:<>/-] ?
[()\[\],.;\'] ?
`[a-zA-Z_]\w* ? Constant ? ^(\s*)(package)(\s+) ? Namespace ? __call__ ?(Lorg/python/core/ThreadState;Lorg/python/core/PyObject;Lorg/python/core/PyObject;Lorg/python/core/PyObject;)Lorg/python/core/PyObject; ? ?
M ? ^(\s*)(import)(\s+) ? import ? set$$0 always ? always_comb ? always_ff ? always_latch ? and ? assign ? automatic ? begin ? break ? buf ? bufif0 ? bufif1 ? case ? casex ? casez ? cmos ? const ? continue ? deassign ? default ? defparam ? disable ? do ? edge else end endcase endfunction endgenerate
endmodule
endpackage endprimitive
endspecify endtable endtask enum event final for force forever" fork$ function& generate( genvar* highz0, highz1. if0 initial2 inout4 input6 integer8 join: large<
localparam> macromodule@ mediumB moduleD nandF negedgeH nmosJ norL notN notif0P notif1R orT outputV packedX parameterZ pmos\ posedge^ primitive` pull0b pull1d pulldownf pulluph rcmosj refl releasen repeatp returnr rnmost rpmosv rtranx rtranif0z rtranif1| scalared~ signed? small? specify? specparam? strength? strong0? strong1? struct? table? task? tran? tranif0? tranif1? type? typedef? unsigned? var? vectored? void? wait? weak0? weak1? while? xnor? xor? pygments/lexers/hdl$py? ? U
?? \b? suffix? g(Lorg/python/core/ThreadState;[Lorg/python/core/PyObject;[Ljava/lang/String;)Lorg/python/core/PyObject; ??
M?
accelerate? autoexpand_vectornets?
celldefine? default_nettype? elsif?
endcelldefine? endif?
endprotect? endprotected? expand_vectornets? ifdef? ifndef? noaccelerate? noexpand_vectornets? noremove_gatenames? noremove_netnames? nounconnected_drive? protect? protected? remove_gatenames? remove_netnames? resetall? timescale? unconnected_drive? undef? `? prefix? bits?
bitstoreal? bitstoshortreal? countdrivers? display? fclose? fdisplay? finish floor fmonitor fopen fstrobe fwrite
getpattern
history incsave itor key list log monitor
monitoroff monitoron nokey! nolog# printtimescale% random' readmemb) readmemh+ realtime-
realtobits/ reset1 reset_count3 reset_value5 restart7 rtoi9 save; scale= scope? shortrealtobitsA
showscopesC
showvariablesE showvarsG sreadmembI sreadmemhK stimeM stopO strobeQ timeS
timeformatU writeW \$Y Builtin[ byte] shortint_ inta longintc bite logicg regi supply0k supply1m trio triandq triors tri0u tri1w triregy uwire{ wire} wand woshortreal? real? Type? [a-zA-Z_]\w*:(?!:)? Label? \$?[a-zA-Z_]\w*? "? #pop? /\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})? Escape? [^\\"\n]+? \\? [^/\n]+? /[*](.|\n)*?[*]/? //.*?\n? /? (?<=\\)\n? [\w:]+\*??
z V tokens? org/python/core/Py? EmptyObjects [Lorg/python/core/PyObject;?? ?? org/python/core/PyFunction? f_globals Lorg/python/core/PyObject;?? ? get_tokens_unprocessed$2 getglobal? [
? get_tokens_unprocessed? getlocal (I)Lorg/python/core/PyObject;??
? m(Lorg/python/core/ThreadState;Lorg/python/core/PyObject;Lorg/python/core/PyObject;)Lorg/python/core/PyObject; ??
M? __iter__ ()Lorg/python/core/PyObject;??
M? unpackSequence 8(Lorg/python/core/PyObject;I)[Lorg/python/core/PyObject;??
?? (ILorg/python/core/PyObject;)V ?
? _is 6(Lorg/python/core/PyObject;)Lorg/python/core/PyObject;??
M? __nonzero__ ()Z??
M? isupper? 9(Lorg/python/core/ThreadState;)Lorg/python/core/PyObject; ??
M? java/util/Arrays? fill (([Ljava/lang/Object;Ljava/lang/Object;)V??
?? f_lasti I?? ? java/lang/Object?
f_savedlocals [Ljava/lang/Object;?? ? getGeneratorInput ()Ljava/lang/Object;??
? org/python/core/PyException? java/lang/Throwable? __iternext__??
M? None?? ?? Lorg/python/core/PyCode;?? ?? j(Lorg/python/core/PyObject;[Lorg/python/core/PyObject;Lorg/python/core/PyCode;Lorg/python/core/PyObject;)V T?
?? getf_locals??
? ^? ? makeClass a(Ljava/lang/String;[Lorg/python/core/PyObject;Lorg/python/core/PyCode;)Lorg/python/core/PyObject;
? SystemVerilogLexer$3 ?
Extends verilog lexer to recognise all SystemVerilog keywords from IEEE
1800-2009 standard.
.. versionadded:: 1.5
systemverilog sv *.sv
*.svh text/x-systemverilog set$$1 accept_on alias assert assume before bind bins binsof" cell$ chandle& checker( class* clocking, config.
constraint0 context2 cover4
covergroup6
coverpoint8 cross: design< dist>
endchecker@ endclassB endclockingD endconfigF endgroupH endinterfaceJ
endprogramL endpropertyN endsequenceP
eventuallyR expectT exportV extendsX externZ first_match\ foreach^ forkjoin` globalb iffd ifnonef ignore_binsh illegal_binsj impliesl incdirn insidep instancer interfacet intersectv join_anyx join_nonez let| liblist~ library? local? matches? modport? new? nexttime? noshowcancelled? null? package? priority? program? property? pulsestyle_ondetect? pulsestyle_onevent? pure? rand? randc? randcase? randsequence? reject_on? restrict? s_always? s_eventually?
s_nexttime? s_until? s_until_with? sequence? shortreal?
showcancelled? solve? static? strong? super? sync_accept_on? sync_reject_on? tagged?
throughout?
timeprecision? timeunit? union? unique? unique0? until?
until_with? untyped? use? virtual?
wait_order? weak? wildcard? with? within? wor? U
?? `__FILE__? `__LINE__? `begin_keywords? `celldefine? `default_nettype? `define? `else? `elsif?
`end_keywords? `endcelldefine? `endif `ifdef `ifndef `include `line `nounconnected_drive
`pragma `resetall
`timescale `unconnected_drive `undef `undefineall $display $displayb $displayh $displayo $dumpall $dumpfile"
$dumpflush$
$dumplimit& $dumpoff( $dumpon*
$dumpports,
$dumpportsall. $dumpportsflush0 $dumpportslimit2
$dumpportsoff4 $dumpportson6 $dumpvars8 $fclose: $fdisplay<
$fdisplayb>
$fdisplayh@
$fdisplayoB $feofD $ferrorF $fflushH $fgetcJ $fgetsL $finishN $fmonitorP
$fmonitorbR
$fmonitorhT
$fmonitoroV $fopenX $freadZ $fscanf\ $fseek^ $fstrobe` $fstrobebb $fstrobehd $fstrobeof $ftellh $fwritej $fwritebl $fwritehn $fwriteop $monitorr $monitorbt $monitorhv $monitorox $monitoroffz
$monitoron| $plusargs~ $random? $readmemb? $readmemh? $rewind? $sformat? $sformatf? $sscanf? $strobe? $strobeb? $strobeh? $strobeo? $swrite? $swriteb? $swriteh? $swriteo? $test? $ungetc? $value$plusargs? $write? $writeb? $writeh?
$writememb?
$writememh? $writeo? (class)(\s+)? classname? [a-zA-Z_]\w*? Class? get_tokens_unprocessed$4?? ??? ?? VhdlLexer$5 :
For VHDL source code.
.. versionadded:: 1.5
? vhdl? *.vhdl? *.vhd? text/x-vhdl? MULTILINE?
IGNORECASE? _or??
M? flags? --.*?$? '(U|X|0|1|Z|W|L|H|-)'?
'[a-z_]\w*? Attribute? "[^\n\\"]*"? (library)(\s+)([a-z_]\w*)? (use)(\s+)(entity)? (use)(\s+)([a-z_][\w.]*\.)(all)? ?(Lorg/python/core/ThreadState;Lorg/python/core/PyObject;Lorg/python/core/PyObject;Lorg/python/core/PyObject;Lorg/python/core/PyObject;)Lorg/python/core/PyObject; ??
M? (use)(\s+)([a-z_][\w.]*)? (std|ieee)(\.[a-z_]\w*)? std? ieee? work? "(entity|component)(\s+)([a-z_]\w*)? N(architecture|configuration)(\s+)([a-z_]\w*)(\s+)(of)(\s+)([a-z_]\w*)(\s+)(is)? T(Lorg/python/core/ThreadState;[Lorg/python/core/PyObject;)Lorg/python/core/PyObject; ??
M? ([a-z_]\w*)(:)(\s+)(process|for)?
(end)(\s+)? S(Lorg/python/core/ThreadState;Lorg/python/core/PyObject;)Lorg/python/core/PyObject; ??
M? endblock? types? keywords numbers [a-z_]\w* (\s+) ; boolean
character severity_level delay_length natural positive
bit_vector file_open_kind file_open_status
std_ulogic std_ulogic_vector std_logic std_logic_vector" abs$ access& after( all* architecture, array. attribute0 block2 body4 buffer6 bus8 component:
configuration< constant>
disconnect@ downtoB entityD exitF fileH genericJ groupL guardedN impureP inR inertialT isV labelX linkageZ literal\ loop^ map` modb nextd off onh openj othersl outn portp postponedr proceduret processv rangex recordz register| reject~ rem? rol? ror? select? severity? signal? shared? sla? sll? sra? srl? subtype? then? to? transport? units? variable? when? \d{1,2}#[0-9a-f_]+#?? \d+? (\d+\.\d*|\.\d+|\d+)E[+-]?\d+?
X"[0-9a-f_]+"?
O"[0-7_]+"? B"[01_]+"??? ?? (Ljava/lang/String;)V org/python/core/PyFunctionTable? ()V T?
?? self Lpygments/lexers/hdl$py;?? ?? ? newCode ?(I[Ljava/lang/String;Ljava/lang/String;Ljava/lang/String;IZZLorg/python/core/PyFunctionTable;I[Ljava/lang/String;[Ljava/lang/String;II)Lorg/python/core/PyCode;??
?? ? ??? text? index? token? value? getMain ()Lorg/python/core/PyCode; main ([Ljava/lang/String;)V? T?
????
?? org/python/core/CodeLoader? createSimpleBootstrap 9(Lorg/python/core/PyCode;)Lorg/python/core/CodeBootstrap;??
?? runMain 5(Lorg/python/core/CodeBootstrap;[Ljava/lang/String;)V??
?? getCodeBootstrap !()Lorg/python/core/CodeBootstrap; #org/python/core/PyRunnableBootstrap? )getFilenameConstructorReflectionBootstrap 2(Ljava/lang/Class;)Lorg/python/core/CodeBootstrap;??
??
call_function S(ILorg/python/core/PyFrame;Lorg/python/core/ThreadState;)Lorg/python/core/PyObject;
?? ^
???
??
???
???
?? org/python/core/PyRunnable? Lorg/python/compiler/APIVersion; % Lorg/python/compiler/MTime; `9?? Lorg/python/compiler/Filename; ]/home/trustin/Workspaces/sphinx-maven-plugin/target/update-sphinx/dist/pygments/lexers/hdl.py org/python/core/ThreadState?? Code LineNumberTable StackMap
SourceFile RuntimeVisibleAnnotations !?? ? ?? ? ^? ?? ? ?? ??
? j+? ? +
? ? W+? +? N+-? !N+? #? %N-'S-)S-+S--S-/S-1S-+? 5N-2:+'? !:-2:+)? !:-2:++? !:-2:+-? !:-2:+/? !:-2:+1? !:+? 7 ? %N-9S-;S-=S-?S-AS-CS-ES-GS-IS-+? 5N-2:+9? !:-2:+;? !:-2:+=? !:-2:+?? !:-2:+A? !:-2:+C? !:-2:+E? !:-2:+G? !:-2:+I? !:+? ? KY? MYO? SYQ? SYS? S? WN+Y-? !N+? ? MN+'? ]-__SO-??:+O? !:-??+ ?? ? MN+'? ]-__SQ-???:+Q? !:-??+!? ? MN+'? ]-__SS-???:+S? !:-??+???? "
? ! ^ ? [+`+b? ]? !+d? ? !+? d? W+? f? N+h-? !N+? ? KY? MYf? SYj? S? WN+l-? !N+? ? KY? MYn? S? WN+p-? !N+? ? KY? MYr? S? WN+t-? !N+!? v? N+x-? !N+#? ? zY? MY|? SY? KY? MY? ~Y? MY?? SY+;? ]?? ?SY?? S? ?SY? ~Y? MY?? SY+9? ]S? ?SY? ~Y? MY?? SY+9? ]S? ?SY? ~Y? MY?? SY+9? ]S? ?SY? ~Y? MY?? SY+;? ]?? ?S? ?SY? ~Y? MY?? SY+;? ]?? ?S? ?SY? ~Y? MY?? SY+G? ]S? ?SY? ~Y? MY?? SY+C? ]SY?? S? ?SY? ~Y? MY?? SY+C? ]?? ?S? ?SY ? ~Y? MY?? SY+E? ]?? ?S? ?SY
? ~Y? MY?? SY+E? ]?? ?S? ?SY? ~Y? MY?? SY+E? ]?? ?S? ?SY? ~Y? MY?? SY+E? ]?? ?S? ?SY
? ~Y? MY?? SY+E? ]?? ?S? ?SY? ~Y? MY?? SY+E? ]?? ?S? ?SY? ~Y? MY?? SY+E? ]S? ?SY? ~Y? MY?? SY+E? ]?? ?S? ?SY? ~Y? MY?? SY+I? ]S? ?SY? ~Y? MY?? SY+=? ]S? ?SY? ~Y? MY?? SY+G? ]S? ?SY? ~Y? MY¸ SY+A? ]Ķ ?S? ?SY? ~Y? MYƸ SY+)? ],+9? ]+?? ]ȶ ?+9? ]? ?S? ?SY? ~Y? MYθ SY+)? ],+9? ]+?? ]ȶ ?+9? ]? ?SYи S? ?SY? ~Y? MY+1? ],? MN? ~Yq? MY??? ?-__S?? -__S? %:?S-??NSY+?? ]S? ?SY? ~Y? MY+1? ],? MN? ~Y? MY?? SY?? SY¸ SYĸ SY? SYƸ SYȸ SYʸ SY̸ SY θ SY
и SYҸ SYԸ SY
+? SYָ SYظ SYڸ SYܸ SY SY? SY? SY? SY? SY? SY? SY? SY? S? ?-__S? -__S?? -__S? %:?S?S-??NSY+;? ]?? ?S? ?SY? ~Y? MY+1? ],? MN? ~Y4? MY?? SY?? SY?? SY?? SY?? SY?? SY ? SY? SY? SY ? SY
? SY
? SY? SY
? SY? SY? SY7? SY? SY? SY? SY? SY? SY? SY ? SY"? SY$? SY&? SY(? SY*? SY,? SY.? SY0? SY 2? SY!4? SY"6? SY#8? SY$:? SY%